Skip to main content

Questions tagged [asic]

An ASIC is an Application Specific IC. It is a custom chip that is made at the factory.

0 votes
1 answer
175 views

Clock domain crossing without synchronisers

I am (re)designing an SPI slave module in VHDL for an ASIC. The SPI domain is faster than the main clock domain (~10MHz and ~1MHz), so the SPI state machine operates in the SPI domain. The previous ...
Elzaidir's user avatar
  • 103
1 vote
0 answers
25 views

Reconciling phase shift error of length-tuned structures in 81-86GHz I/Q direct down-converter ASIC with datasheet specifications

I'm watching a video on reverse engineering a GaAs 81-86GHz I/Q down-converter ASIC. The relevant section of the video for this question is 10 minutes onwards, but watching the video isn't necessary ...
Polynomial's user avatar
  • 10.8k
1 vote
1 answer
46 views

What is name of the technique where we create modules to help us test our digital design?

In my FPGA design project, I created a few modules that can be used to emulate output from another module. Then there were modules that would inject erronous data or signals that signify errors. By ...
quantum231's user avatar
0 votes
1 answer
727 views

Pin vs Port terminology in SDC

In SDC (Synopsys Design Constraints), set_driving_cell is said to be used to model the drive resistance of the cell driving the input port. I'm confused by the word ...
hontou_'s user avatar
  • 1,074
0 votes
1 answer
112 views

Standard cell design flow in an ASIC design flow

I have a question regarding the standard cell design flow in an ASIC design flow. That being said I understand what a gate array design flow is. It being more or less a fixed logic FPGA. Structured ...
patvax's user avatar
  • 103
2 votes
1 answer
519 views

What are horizontal and vertical track pitches?

I was experimenting on OpenLANE with Sky130 PDK and below is the tracks.info file. I learned from a workshop that the values pertain to the track pitches as ...
hontou_'s user avatar
  • 1,074
4 votes
2 answers
739 views

Why don't 2 flip-flop synchronizers have a reset?

This is similar to this question, asking if a reset is needed in a 2 flip-flop synchronizer. The answer to that question was: "no, not necessarily". So, my question is: Why do almost all of ...
Harry's user avatar
  • 280
2 votes
2 answers
480 views

Why is a reset with asynchronous assert safe?

As far as I understand, a reset with asynchronous assert, synchronous de-assert is considered absolutely safe. I understand that this prevents metastability at the output of a flip-flop using that ...
Harry's user avatar
  • 280
-4 votes
1 answer
264 views

Does an ASIC have an instruction set? [closed]

Does an Application Specific Integrated Circuit have an instruction set like a CPU? If yes, then that would contradict the statement "ASIC is faster than CPU" because having an instruction ...
Noob_Guy's user avatar
  • 443
2 votes
3 answers
930 views

Is it true that for asynchronous clock domain crossing, there is always a small chance that data will be lost or corrupted?

There are several techniques that can be used to transfer data between two asynchronous clock domains. For a few bits, and depending on direction of data between the two clock domains, one could use ...
quantum231's user avatar
0 votes
1 answer
95 views

RDC from FF with async reset to FF with sync reset (no reset pin) - what is the design practice to solve this?

Given the below scenario, which is a reset-domain-crossing violation : Can it be resolved using some reset synchronization strategy? Is such design considered bad to begin with, i.e. need to avoid ...
Lapid Tech's user avatar
0 votes
1 answer
211 views

ASIC Hardware cost

Why is multiplication with a fixed coefficient cheaper in ASIC as compared to variable multiplication? Would it be faster using an FPGA inferring a DSP Slice?
Rahul Seth's user avatar
1 vote
0 answers
66 views

NEC asic information from around 1990

I'm looking for datasheets with specification for the NEC ASIC/Gate Arrays from around 1990. More preciselly, any information with specifications of their ASIC/Gate Array lines. I would like to known ...
Hernandi F. Krammes F.'s user avatar
1 vote
1 answer
128 views

8bitworkshop(verilog) to terminal transition

I have been working through very basic Verilog code examples at https://8bitworkshop.com (supports a book I am following). I understand there are a few good online options available and will pursue ...
MatthewRandall's user avatar
-1 votes
2 answers
427 views

Is it possible for an SoC to have a built-in SSD?

Is it possible to have an SoC that includes an SSD on-chip, or are there technical constraints that prevent that? What are those technical constraints, if any?
steventrouble's user avatar

15 30 50 per page
1
2
3 4 5
14