Skip to main content

Questions tagged [system-verilog]

In the semiconductor and electronic design industry, SystemVerilog is a combined hardware description language and hardware verification language based on extensions to Verilog.

0 votes
1 answer
52 views

Can generated events get placed before events already in the event queue in SystemVerilog?

I tried to consult the Verilog LRM but wasn't successful; some of the reason is because I don't really know the correct terminology. This question is related to this one here, but I never got an ...
EE18's user avatar
  • 1,161
0 votes
2 answers
49 views

Synthesizable system verilog code to find least number in an array

I have tried a few ways using for loops to find the least number in array, but having a hard time in updating the pointer whenever a new least value is encountered. I am following the below textbook ...
Saransh Choudhary's user avatar
0 votes
0 answers
54 views

How can I reduce the amount of resources I'm using in this system verilog design

I want to implement a design that follow a paper written by a researcher the part, this lead us to this picture that resume what the code I have so far is supposed to do: As you can se the goal is to ...
fabrice's user avatar
  • 33
1 vote
1 answer
45 views

Creating entity/module containing IP from different vendors

In FPGA design often we need to instantiate vendor specific IP. This could be simple things like Block RAM and DSP. It could be more complex things like FPU IP. The 3rd party IP is directly ...
quantum231's user avatar
0 votes
1 answer
53 views

Using a parameter as a macro in system verilog code

Assume that I have below module definition with a parameter N: ...
Saransh Choudhary's user avatar
1 vote
3 answers
46 views

Increase operation width during the operation without extra registers in Verilog

I have two signals of type "reg" with different bit lengths: reg [15:0] A; reg [11:0] B; I want to display the value of ...
Saeed Jazaeri's user avatar
2 votes
2 answers
38 views

The quality of testbenches with UVM testing

This thread partially answers the question that I have Writing synthesizable testbenches, but I'm still not truly satisfied. So I come from a hardware engineering background, as I was discussing how ...
Lannan Jiang's user avatar
0 votes
1 answer
33 views

Verilog-A compiler directive inside an analog block - legal or not?

In Verilog-A, we desire to insert an `include directive inside a module and specifically inside an analog process block. Is this legal or not? I can not find any ...
TomH's user avatar
  • 1
2 votes
2 answers
64 views

Passing Matrices (larger arrays) between modules

I'm trying to have my design be more compartmentalized and separate each task into different modules/source files. I basically want to pass a matrix and a vector into a module I created where I'll do ...
Samuel's user avatar
  • 21
1 vote
2 answers
59 views

What is SystemVerilog way of writing the VHDL 'range attribute?

In VHDL I can write this: slv_1(slv_2'range) so that I select slice of slv_1 that has same range as ...
quantum231's user avatar
0 votes
1 answer
20 views

doubt regarding class handle in system verilog

I am unable to understand what actually the assignment smpl=e_smpl; does. I thought that by doing so sample class handle will also point to the ext_sample but it is ...
Kartikey's user avatar
0 votes
0 answers
32 views

AMD/Xilinx SystemVerilog class variables dissapear in script vs. project simulaiton

I have asked this question on Stackoverflow but not answer yet. So, let me try EE stackexchange forum. While scripting one of the SystemVerilog class-based testbenches I noticed that the testbench (...
My Name's user avatar
1 vote
1 answer
99 views

Single-Digit BCD Adder

I have this code written in SystemVerilog. The module bcdadd1 is supposed to take in two 4-bit inputs A and B and a logic input carryin (...
David's user avatar
  • 23
0 votes
1 answer
64 views

QuestaSim shows internal signals of VHDL module but not SystemVerilog module

So for the first time, I created a SystemVerilog module and testbench in QuestaSim today. I created a project inside QuestaSim and then created a counter and a testbench for the counter. When I ...
quantum231's user avatar
1 vote
1 answer
59 views

SystemVerilog help, I'm stuck

Please help with this SystemVerilog code. The intended behavior is that the seven-segment displays 7 and 5 will show the current inputs, and the segment displays 0 and 1 will be the two-digit result ...
David's user avatar
  • 23

15 30 50 per page
1
2 3 4 5
34