Nova Ltd.’s Post

View organization page for Nova Ltd., graphic

43,029 followers

“When my friends ask me about my work experience, I give them an honest answer: I truly enjoy it. Being in the Semiconductor Metrology field, which is both stable and growing gives me peace of mind about my future. But what sets us apart at Nova is our approach to innovation. We're always encouraged to think outside the box and come up with new ideas which keeps things fresh and exciting and we even have a Global Event – “Nova Next” to support this approach. Our managers genuinely care about our growth and development, which makes all the difference in feeling supported and motivated. I like our modern workspace and our office is nestled at the foot of the Swabian Alb which is pretty sweet. All of these makes me really content with what I do here and I would be happy to have more of my friends to join me and my team.” Rainer Kersten, Mechanical Engineering Manager, Nova Germany Join Nova, click for more details about Nova Germany career opportunities: https://bit.ly/4cetFOI #One_OfNova #NovaGermany

  • No alternative text description for this image

To view or add a comment, sign in

Explore topics